亚洲在线日韩伦理片,96精品国产AⅤ一区二区,青鸟影视网,yy黄色频道,国内精品久久久精品AV电影院

新思科技與中芯國際攜手推出增強型90納米參考流程,以降低集成電路的設計和測試成本


最新推出的設(she)計流程簡化了低功耗片上(shang)系(xi)統 (SOC) 的開發(fa)和測試(shi)過程

加州芒廷(ting)維尤和上海2月26日電 /新(xin)華美(mei)通(tong)/ -- 為全球半(ban)導體設計(ji)(ji)和制造(zao)提(ti)供軟件(jian)和知(zhi)識產權的(de)(de)(de)(de)主(zhu)導企(qi)業(ye)新(xin)思(si)科技 (Nasdaq:SNPS) 和世界領先(xian)的(de)(de)(de)(de)集成電路(lu)芯片(pian)代工公司(si)之一,中芯國際集成電路(lu)制造(zao)有(you)限公司(si)(“SMIC”,紐約證券交(jiao)易所:SMI,香港聯合(he)(he)交(jiao)易所:0981)今日宣(xuan)布,共同推出一個支(zhi)持層次化設計(ji)(ji)及多電壓設計(ji)(ji)的(de)(de)(de)(de)增強型(xing)90納米(mi) RTL-to-GDSII 參考設計(ji)(ji)流(liu)程。該流(liu)程受益于當前先(xian)進的(de)(de)(de)(de)邏輯(ji)綜合(he)(he)、可測(ce)性(xing)設計(ji)(ji) (DFT) 和可制造(zao)性(xing)設計(ji)(ji) (DFM) 技術(shu)(shu),其主(zhu)要特(te)性(xing)包括:Design CompilerTM Ultra 產品(pin)的(de)(de)(de)(de)拓撲綜合(he)(he) (topographical synthesis) 技術(shu)(shu)、DFT MAX 產品(pin)的(de)(de)(de)(de)掃描(miao)壓縮技術(shu)(shu)以及 IC Compiler 布局與布線(xian) (place-and-route) 產品(pin)的(de)(de)(de)(de)關(guan)鍵區(qu)域分析 (Critical Area Analysis) 技術(shu)(shu)。這(zhe)些技術(shu)(shu)的(de)(de)(de)(de)完美(mei)融合(he)(he)有(you)助于降低(di)片(pian)上系統 (SoCs) 的(de)(de)(de)(de)實施和測(ce)試成本。

(Logo: //www.nyhomeapp.cn/sa/200611101605.jpg )

中芯國際(ji)設計服務資深院士 Paul Ouyang 表示:“為了(le)增強我們(men)的(de)90納(na)米參考流程,我們(men)與新思(si)科技進(jin)行了(le)緊(jin)密合作。最新的(de)設計迭(die)代過程建(jian)立在上述流程的(de)低功(gong)耗、DFT 和(he) DFM 特(te)性的(de)基礎之上。新的(de)流程可以減少綜合迭(die)代次數并降低測(ce)試成本(ben),讓我們(men)的(de)客戶能夠(gou)大幅度(du)降低成本(ben)和(he)設計風(feng)險。”

增強(qiang)型參(can)考設(she)(she)(she)計(ji)流(liu)程3.2版以中芯(xin)國(guo)際的(de)(de)(de)90納米(mi)(mi)工(gong)(gong)藝和(he)(he)新思科(ke)技(ji)(ji)的(de)(de)(de) Pilot 設(she)(she)(she)計(ji)環境為(wei)基礎,目前(qian)已使用(yong)(yong)(yong)專為(wei)中芯(xin)國(guo)際90納米(mi)(mi)工(gong)(gong)藝開(kai)發的(de)(de)(de) ARM(R) 低功(gong)耗設(she)(she)(she)計(ji)套件在新思科(ke)技(ji)(ji)的(de)(de)(de) Galaxy(TM) 設(she)(she)(she)計(ji)平(ping)臺上(shang)進行了驗證。該(gai)(gai)(gai)參(can)考流(liu)程采用(yong)(yong)(yong)了 Design Compiler Ultra 的(de)(de)(de)拓(tuo)撲綜(zong)(zong)合(he) (topographical synthesis) 技(ji)(ji)術,該(gai)(gai)(gai)技(ji)(ji)術在綜(zong)(zong)合(he)階段(duan)就(jiu)可以精確預測(ce)布局(ju)后的(de)(de)(de)時序、功(gong)耗和(he)(he)面積,從而減少(shao)邏輯綜(zong)(zong)合(he)和(he)(he)布局(ju)之間的(de)(de)(de)迭代設(she)(she)(she)計(ji)時間。用(yong)(yong)(yong)于低功(gong)耗設(she)(she)(she)計(ji)的(de)(de)(de)高(gao)級功(gong)能包括電(dian)平(ping)轉換器 (Level shifter) 和(he)(he)隔離單元 (Isolation Cell) 的(de)(de)(de)插入和(he)(he)布局(ju)優化、多電(dian)壓(ya)(ya)(ya)(ya)區(qu)域(yu)的(de)(de)(de)創建、多電(dian)源網絡的(de)(de)(de)自動綜(zong)(zong)合(he)以及理解多電(dian)壓(ya)(ya)(ya)(ya)區(qu)域(yu)的(de)(de)(de)時鐘樹綜(zong)(zong)合(he)。為(wei)減少(shao)靜態(tai)漏(lou)電(dian),該(gai)(gai)(gai)設(she)(she)(she)計(ji)流(liu)程采用(yong)(yong)(yong)了電(dian)源閘(zha)控 (Power Gating) 技(ji)(ji)術,可關(guan)閉處于工(gong)(gong)作狀態(tai)的(de)(de)(de)芯(xin)片區(qu)域(yu)的(de)(de)(de)電(dian)源。DFT MAX 則用(yong)(yong)(yong)以生(sheng)成掃描(miao)(miao)壓(ya)(ya)(ya)(ya)縮電(dian)路,通過減少(shao)生(sheng)產測(ce)試所(suo)需(xu)的(de)(de)(de)數據量(liang)和(he)(he)時間來充(chong)分(fen)降低測(ce)試成本。該(gai)(gai)(gai)工(gong)(gong)具(ju)還減少(shao)了跨電(dian)壓(ya)(ya)(ya)(ya)域(yu)的(de)(de)(de)掃描(miao)(miao)鏈連接的(de)(de)(de)數量(liang),從而縮減了電(dian)位轉換器 (Level Shifter) 或隔離單元 (Isolation Cell) 的(de)(de)(de)數量(liang)來減少(shao) DFT 對芯(xin)片面積的(de)(de)(de)影(ying)響。

該參考流程(cheng)還采用了 IC Compiler 中的(de)關鍵區域分析 (CAA) 技(ji)術來確定隨(sui)機顆粒缺陷對成品率的(de)影(ying)響。通(tong)過采用 CAA,設計(ji)人員可以識別出成品率損失(shi)較大的(de)電路(lu)結構(gou),并在生產(chan)前采取糾正措施(shi)。該流程(cheng)中的(de)其它 DFM 功能包括連線過孔的(de)優化以及插入填充去耦單元(yuan) (filler cell and filler cap)。

新思科技(ji)戰略市場發展(zhan)副(fu)總(zong)裁 Rich Goldman 表示(shi):“與中芯國際(ji)的(de)長(chang)期(qi)合(he)作使(shi)我們(men)能夠通(tong)過增強參(can)考(kao)流程滿(man)足(zu)客戶(hu)對 DFT、DFM 和功率管理的(de)不斷變化的(de)需求。與中芯國際(ji)的(de)共(gong)同努(nu)力使(shi)我們(men)能夠向我們(men)共(gong)同的(de)客戶(hu)提供滿(man)足(zu)他們(men)所需的(de)先進工具和技(ji)術,從而實現首(shou)次即成(cheng)功的(de)芯片(pian)設計(ji)。”

供貨情況

參考設計流程3.2版(ban)現已推出。如需了解更多信息,請(qing)聯系您的中芯國際客(ke)戶經理,或發送電子(zi)郵件至(zhi):。

中芯簡介

中(zhong)芯(xin)(xin)(xin)國(guo)(guo)際(ji)集(ji)成(cheng)(cheng)電(dian)路制造(zao)有(you)(you)限公司(“中(zhong)芯(xin)(xin)(xin)國(guo)(guo)際(ji)”, 紐約證交(jiao)所股(gu)票代(dai)碼:SMI,香(xiang)港(gang)聯(lian)合交(jiao)易所股(gu)票代(dai)碼:981)總部位于中(zhong)國(guo)(guo)上(shang)海,是(shi)世界領先的(de)集(ji)成(cheng)(cheng)電(dian)路芯(xin)(xin)(xin)片代(dai)工企(qi)業之一(yi)(yi),也是(shi)中(zhong)國(guo)(guo)內地規模較大(da)、技術先進(jin)(jin)的(de)集(ji)成(cheng)(cheng)電(dian)路芯(xin)(xin)(xin)片制造(zao)企(qi)業。中(zhong)芯(xin)(xin)(xin)國(guo)(guo)際(ji)向全球(qiu)客戶(hu)提供0.35微(wei)米(mi)到(dao)65納米(mi)及更先進(jin)(jin)的(de)芯(xin)(xin)(xin)片代(dai)工服務(wu)。中(zhong)芯(xin)(xin)(xin)國(guo)(guo)際(ji)在(zai)上(shang)海建(jian)(jian)有(you)(you)三座(zuo)(zuo)8吋芯(xin)(xin)(xin)片廠(chang)(chang)(chang)和(he)一(yi)(yi)座(zuo)(zuo)12吋芯(xin)(xin)(xin)片廠(chang)(chang)(chang)。北京(jing)建(jian)(jian)有(you)(you)兩(liang)座(zuo)(zuo)12吋芯(xin)(xin)(xin)片廠(chang)(chang)(chang),在(zai)天津(jin)建(jian)(jian)有(you)(you)一(yi)(yi)座(zuo)(zuo)8吋芯(xin)(xin)(xin)片廠(chang)(chang)(chang)。中(zhong)芯(xin)(xin)(xin)國(guo)(guo)際(ji)還在(zai)美國(guo)(guo)、意大(da)利、日本提供客戶(hu)服務(wu)和(he)設立(li)營(ying)銷(xiao)辦(ban)事處,同(tong)時在(zai)香(xiang)港(gang)設立(li)了(le)代(dai)表處。此外,中(zhong)芯(xin)(xin)(xin)在(zai)成(cheng)(cheng)都建(jian)(jian)有(you)(you)封裝測試廠(chang)(chang)(chang)以及有(you)(you)一(yi)(yi)座(zuo)(zuo)代(dai)為經營(ying)管(guan)理的(de)8吋芯(xin)(xin)(xin)片廠(chang)(chang)(chang),在(zai)武漢有(you)(you)一(yi)(yi)座(zuo)(zuo)代(dai)為經營(ying)管(guan)理的(de)先進(jin)(jin)的(de)12吋芯(xin)(xin)(xin)片廠(chang)(chang)(chang)。詳細(xi)信(xin)息(xi)請參(can)考(kao)中(zhong)芯(xin)(xin)(xin)國(guo)(guo)際(ji)網站 //www.smics.com 。

新思科技簡介

新(xin)思科技(ji) (Nasdaq: SNPS) 是為(wei)全(quan)球(qiu)集成(cheng)(cheng)電(dian)(dian)(dian)路(lu)(lu)設(she)(she)計(ji)(ji)(ji)提(ti)供電(dian)(dian)(dian)子(zi)(zi)設(she)(she)計(ji)(ji)(ji)自動化 (EDA) 軟件工具(ju)的(de)主導企(qi)業。公司(si)為(wei)全(quan)球(qiu)電(dian)(dian)(dian)子(zi)(zi)市(shi)場(chang)提(ti)供技(ji)術領先的(de)系統(tong)和(he)(he)(he)半導體(ti)設(she)(she)計(ji)(ji)(ji)與驗證(zheng)(zheng)平臺、集成(cheng)(cheng)電(dian)(dian)(dian)路(lu)(lu)制造和(he)(he)(he)生產(chan)優化解(jie)決(jue)方案(an)(an)以及半導體(ti)知識產(chan)權和(he)(he)(he)設(she)(she)計(ji)(ji)(ji)服務。這(zhe)些(xie)解(jie)決(jue)方案(an)(an)有(you)助于開發和(he)(he)(he)生產(chan)復雜的(de)集成(cheng)(cheng)電(dian)(dian)(dian)路(lu)(lu)和(he)(he)(he)電(dian)(dian)(dian)子(zi)(zi)系統(tong)。通過(guo)這(zhe)些(xie)全(quan)面的(de)解(jie)決(jue)方案(an)(an),新(xin)思科技(ji)可以幫助設(she)(she)計(ji)(ji)(ji)人(ren)員和(he)(he)(he)生產(chan)商應對包括(kuo)電(dian)(dian)(dian)源管理在(zai)內(nei)的(de)各項重大挑戰(zhan),縮短從(cong)設(she)(she)計(ji)(ji)(ji)到成(cheng)(cheng)品的(de)時間以及從(cong)系統(tong)到芯片 (system-to-silicon) 的(de)驗證(zheng)(zheng)時間。新(xin)思科技(ji)的(de)總部設(she)(she)在(zai)美國加(jia)利福尼亞(ya)州芒廷維尤(you)市(shi) (Mountain View),公司(si)在(zai)北美、歐(ou)洲、日(ri)本和(he)(he)(he)亞(ya)洲設(she)(she)有(you)60多個辦事(shi)處。詳情(qing)請訪問新(xin)思科技(ji)的(de)網站: //www.synopsys.com/ 。

消息來源:中芯國際集成電路制造有限公司
相關股票:
HongKong:981 NYSE:SMI
全球TMT
微信公眾號“全球TMT”發布全球互聯網、科技、媒體、通訊企業的經營動態、財報信息、企業并購消息。掃描二維碼,立即訂閱!
關(guan)鍵詞: 電腦/電子
collection